Mitosis (OSDI 2018 Poster) mitigate NUMA effects on page-table walks by transparently replicating and migrating page-tables across sockets without application changes.

Multi-socket machines typically feature non-uniform memory access (NUMA) characteristics: accessing memory attached to the local processor has different performance characteristics than accessing memory attached to a remote processor.

Thus, NUMA-aware data migration is widely studied. However, page-table placement in the context of NUMA machines is still untouched.